首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

ISE11.x中Modelsim仿真库的编译步骤

ISE11.x中Modelsim仿真库的编译步骤

以前在刚开始学习ISE、Modelsim的时候,看到文献资料上介绍的编译步骤非常麻烦,又是要命令行,又是要输入各种指令,还得配
置好多东西; 现在用ISE11发现真的方便多了,仅需要以下几个简单的步骤就可以把器件库、IP仿真库和EDK的仿真库给一起创建了
。步骤如下(以windows xp sp3为例,前提是已经正确安装了ModelSim)
1.点击「开始」菜单\程序\Xilinx ISE Design Suite 11\ISE\Accessories下面的Simulation Library Compilation Wizard
2.打开之后,首先在“Select Simulator”选择使用的ModelSim的版本,其次在“Simulator Executable Location”选择ModelSim

的路径,例如“C:\modeltech_6.5d\win32”;其余选项一般不需要更改
3.点击“next”之后,这里选择所使用的HDL语言,可以是Verilog或者VHDL,如果编程时二者都用到则选择“Both”
4.点击“next”之后,选择需要编译的器件仿真库;一般根据需要选择即可,如Spartan3、Viertex6等,没有必要全选,因为一般

情况下我们能够用到的器件类型有限
5.点击“next”之后,选择需要编译的功能与时序仿真的仿真库,有FPGA的、CPLD的、Core Generator的、时序仿真的和EDK仿真的

,一般推荐全部选择,以便日后可以使用ISE的全部强大功能
6.点击“next”之后,选择编译之后库的输出文件夹,一般情况下保存默认即可
7.如果感觉有需要返回修改的,则点击back,如果确认无误了则点击“launch compliedprocess”
8.接下来就是自动编译了。这个过程比较长,根据机器配置和选择的库的情况,应在半个小时-一个半小时,甚至更长,可以去先做别的事情了,因为编译过程CPU和硬盘都在全速运转
9.完成之后点击finish,然后就可以享受FPGA大餐了!
记录学习中的点点滴滴,让每一天过的更加有意义!
返回列表