首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

基于FPGA的OLED微显示器的IIC控制模块设计

基于FPGA的OLED微显示器的IIC控制模块设计

摘  要: OLED微显示器作为一种新兴的微显示器,具有众多优点。鉴于OLED微显示大多采用IIC接口,利用Verilog语言,采用模块化设计思想,设计了基于FPGA EP2C8Q208C8的OLED微显示器的IIC接口的IIC控制模块,该控制模块包括写数据存储模块、读数据存储模块、数据读写模块,从而准确而有效地实现了对OLED微显示内部寄存器的读写操作。
        关键词: OLED微显示器;模块化设计;FPGA;IIC接口
           微显示器[1]是一种特殊形态的显示器,它自身物理尺寸很小,但却可以通过光学系统产生大屏幕显示效果,主要应用于投影机和近眼显示系统。近年来,随着有机发光技术的兴起,基于OLED(有机发光二极管)的微显示器也开始逐渐发展。OLED微显示器具有主动发光、固态显示、超轻超薄、色彩丰富、驱动电压低、响应速度快、温度适应范围广、功耗低等优点。目前,大多OLED微显示器都采用IIC接口[2],通过IIC接口控制OLED微显示器内部寄存器,从而实现对显示模式、显示方向、显示位置、亮度、对比度、色度、伽玛矫正等控制功能。
            IIC是Philips公司开发的一种用于芯片间通信的串行传输总线,它由串行时钟线SCL和串行数据线SDA完成全双工数据传送。IIC最主要的优点是其具备简单性和有效性。其次,由于接口直接在组件上,IIC占用的空间非常小,同时其连线也少。
            本文通过利用Verilog语言,采用模块化设计思想[3],设计了基于FPGA的OLED微显示器的IIC接口的IIC控制模块,该控制模块包括写数据存储模块、读数据存储模块、数据读写模块,从而准确而有效地完成对OLED微显示内部寄存器的读写操作。
        1 IIC接口的控制方案
        1.1 OLED微显示器

            本设计采用的OLED微显示器是由云南北方奥雷德光电科技股份有限公司生产的SVGA050SC低功耗主动式OLED微显示器,内部共有256个寄存器,通过IIC接口,可对其进行读写操作,从而可实现对OLED微显示器显示模式、显示方向、显示位置、亮度、对比度、色度、伽玛矫正等的控制和调整。主要寄存器如表1所示。

       


        1.2 OLED微显示器IIC接口的基本原理
            IIC能以双向数据线(SDA)和时钟线(SCL)实现完善的全双工同步数据传送[4]。工作时,SDA和SCL必须通过外部控制器经电阻上拉至1.8 V/3.3 V电源。OLED显示器只能作为从机使用,所有读/写操作必须由主机来实现。
继承事业,薪火相传
返回列表