首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

请教modelsim的安装问题

请教modelsim的安装问题

我是大四学生,.作毕设时用这个软件,在安装后,打开总是说错误,要找什么lisence文件 ,

急啊!!!!!!!!!!!!!!!!!!!!!!!!!!!

1) 建文件夹:c:\flexlm,将生成的license.dat拷入到该目录下;

2)我的电脑-->右键-->属性-->高级--> 选择‘环境变量’--> 新建(变量名:LM_LICENSE_FILE;变量值: C:\flexlm\license.dat)确定;

3)破解成功;

版主客气了,相互学习

[em01]
返回列表