首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

求助:数字钟的部分VHDL源程序

求助:数字钟的部分VHDL源程序

  小弟做的是数字钟,用VHDL语言,但要求能显示年月日和星期,也不知道这部分程序怎么写,现在急着要交程序,所以请各位高手能帮帮小弟,感激不尽。

[此贴子已经被作者于2007-4-9 15:21:58编辑过]

ai

时钟程序的实现不难,关键是你用心去学的程度,很多事情去做会发现很容易,不做,再容易的事都很难。

关键在你自己。

这个版主不太冷 =========================== 我的中电网博客:http://blog.chinaecnet.com/u/20/index.htm
返回列表