首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

求助quartusII编译问题

求助quartusII编译问题

各位大人们:下面的程序是我找的一个用来熟悉quartusII的,在仿真的时候总要出错:

Error: Can't continue simulation because delay annotation information for design is missing

请高手们指点一下,把时序约束说一下.

library ieee;
use ieee.std_logic_1164.all;
entity air_conditioner is
 port(clk ,temp_high,temp_low:in std_logic;
  heat,cool: out std_logic);
end air_conditioner;
architecture style of air_conditioner is
type state is(just_right,too_cold,too_hot);
 signal now_state,next_state: state;
 begin
 process(now_state,temp_high,temp_low)
  begin
  case now_state is
  when just_right=>heat<='0';cool<='0';
   if(temp_low='1') then
   next_state<=too_cold;
   elsif(temp_high='1') then
   next_state<=too_hot;
   else
   next_state<=just_right;
   end if;
  when too_cold=>heat<='1' ;cool<='0';
   if(temp_low='1') then
   next_state<=too_cold;
   elsif(temp_high='1') then
   next_state<=too_hot;
   else
   next_state<=just_right;
   end if;
  when too_hot=>heat<='0' ;cool<='1';
   if(temp_low='1') then
   next_state<=too_cold;
   elsif(temp_high='1') then
   next_state<=too_hot;
   else
   next_state<=just_right;
   end if;
  end case;
  end process;
  process(clk)
  begin
   if(clk'event and clk='1') then
    now_state<=next_state;
   end if;
  end process;
  end style;

系统提示你的系统延时信息丢失,

其实很简单的做法就是重新新建一个工程。

然后编译,仿真,就可以了。

这个版主不太冷 =========================== 我的中电网博客:http://blog.chinaecnet.com/u/20/index.htm

谢谢版主!

我试一试看,以后不懂的请多多指教.

返回列表