首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

请教一个正弦波产生的问题

请教一个正弦波产生的问题

偶用Verilog语言写

一部分程序如下:

input clk;          //时钟输入

output[7:0] outdata;   //数据输出到D/A

reg[7:0] outdata;

reg[7:0] sin[63:0] ;   //正弦波采样点数据  64点

reg[5:0] addr  ;        //正弦波地址寄存器

initial $readmemh("sin.data",sin); //从文件读取正弦波数据到寄存器

always @(posedge clk)

begin

        if(addr==63)

                 addr<=0;

        else

                  outdata<=sin[addr];

                 addr<=addr+1;

程序编译通过并下载到芯片上了, 但是就是没有正弦波出来, 不知道那里出错了!

请教高手,  怎么样用Verilog 写才能产生正弦波?  我的程序需要在那里修改?

其中initial $readmemh("sin.data",sin);   “sin.data" 这个文件原来是由文本文档写好的, 再改名, 里面的是16进制数据, 一行就一个数据,  文件放在 工程文件夹里面!

......................

没人回答!??

用MIF或者HEX文件,直接在QUARTUS中编辑.

返回列表