首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

高手过来看看

高手过来看看

小弟新手 望各位高手指点错误在那 啊  


这段程序老是有警告   warning:fipflop':17'stuck at GND waining:lgnored unnecessary INPUT pin "clk_int"  waining:lgnored unnecessary INPUT pin "kk"
程序如下
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
entity DAC is
    Port (clk_int:in STD_LOGIC;
          kk:in std_logic;                                 
          dataut std_logic_vector(7 downto 0));               
end DAC;
architecture Behavioral of DAC is
signal d:std_logic_vector(7 downto 0);
signal count100:std_logic_vector(6 downto 0);
begin
process(clk_int)
begin
if(clk_int'event and clk_int='1') then
  if((count100="1100011")or(kk='1')) then count100<="0110010";
 elsif(kk='0') then count100<="0110010";
else count100<=count100+'1';
end if;
end if;
end process;
process(count100)
begin
 case count100 is
when "0000000"=>d<="01111111";when "0000001"=>d<="10000111";when "0000010"=>d<="10001111";
when "0000011"=>d<="10010111";when "0000100"=>d<="10011111";when "0000101"=>d<="10100110";
when "0000111"=>d<="10110101";when "0001000"=>d<="10111100";when "0001001"=>d<="11000011";
when "0001010"=>d<="11001010";when "0001011"=>d<="11010000";when "0001100"=>d<="11010110";
when "0001101"=>d<="11011100";when "0001110"=>d<="11100001";when "0001111"=>d<="11100110";
when "0010000"=>d<="11101011";when "0010001"=>d<="11101111";when "0010010"=>d<="11110010";
when "0010011"=>d<="11110110";when "0010100"=>d<="11111000";when "0010101"=>d<="11111010";
when "0010110"=>d<="11111100";when "0010111"=>d<="11111101";when "0011000"=>d<="11111110";
when "0011001"=>d<="11111111";when "0011010"=>d<="11111110";when "0011011"=>d<="11111101";
when "0011100"=>d<="11111100";when "0011101"=>d<="11111010";when "0011110"=>d<="11111000";
when "0011111"=>d<="11110110";when "0100000"=>d<="11110010";when "0100001"=>d<="11101111";
when "0100010"=>d<="11101011";when "0100011"=>d<="11100110";when "0100100"=>d<="11100001";
when "0100101"=>d<="11011100";when "0100110"=>d<="11010110";when "0100111"=>d<="11010000";
when "0101000"=>d<="11001010";when "0101001"=>d<="11000011";when "0101010"=>d<="10111100";
when "0101011"=>d<="10110101";when "0101100"=>d<="10101110";when "0101101"=>d<="10100110";
when "0101110"=>d<="10011111";when "0101111"=>d<="10010111";when "0110000"=>d<="10001111";
when "0110001"=>d<="10000111";when "0110010"=>d<="01111111";when "0110011"=>d<="01110111";
when "0110100"=>d<="01101111";when "0110101"=>d<="01100111";when "0110110"=>d<="01011111";
when "0110111"=>d<="01011000";when "0111000"=>d<="01010000";when "0111001"=>d<="01001001";
when "0111010"=>d<="01000010";when "0111011"=>d<="00111011";when "0111100"=>d<="00110100";
when "0111101"=>d<="00101110";when "0111110"=>d<="00101000";when "0111111"=>d<="00100010";
when "1000000"=>d<="00011101";when "1000001"=>d<="00011000";when "1000010"=>d<="00010011";
when "1000011"=>d<="00001111";when "1000100"=>d<="00001100";when "1000101"=>d<="00001000";
when "1000110"=>d<="00000110";when "1000111"=>d<="00000100";when "1001000"=>d<="00000010";
when "1001001"=>d<="00000001";when "1001010"=>d<="00000000";when "1001011"=>d<="00000000";
when "1001100"=>d<="00000000";when "1001101"=>d<="00000001";when "1001110"=>d<="00000010";
when "1001111"=>d<="00000100";when "1010000"=>d<="00000110";when "1010001"=>d<="00001000";
when "1010010"=>d<="00001100";when "1010011"=>d<="00001111";when "1010100"=>d<="00010011";
when "1010101"=>d<="00011000";when "1010110"=>d<="00011101";when "1010111"=>d<="00100010";
when "1011000"=>d<="00101000";when "1011001"=>d<="00101110";when "1011010"=>d<="00110100";
when "1011011"=>d<="00111011";when "1011100"=>d<="01000010";when "1011101"=>d<="01001001";
when "1011110"=>d<="01010000";when "1011111"=>d<="01011000";when "1100000"=>d<="01011111";
when "1100001"=>d<="01100111";when "1100010"=>d<="01101111";when "1100011"=>d<="01110111";
when others =>null;
end case;
end process;
data<=d;
end Behavioral;
好象or只能用于bit boolean.std-logic这几种数据类型
渴望在这里能学到许多知识.认识众多电子信息方面的高手

那只是个小问题  之前我取63个点的时候,也是这样  定义输入脚失败   后来取100个点时候没这个警告

难道是3楼说的那样  类型定义错了哦?

返回列表