首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

高手帮忙!!急!!

高手帮忙!!急!!

各位高手,我在用ISE进行VHDL综合时,出现了下列情况,
请问1.是哪一行出现了问题?出现了什么问题?
       2我该怎么办???

Compiling vhdl file "E:/毕业设计实验/xin/qian_wei256_1.vhd" in Library work.
ERROR:HDLParsers:164 - "E:/毕业设计实验/xin/qian_wei256_1.vhd" Line 45. parse error, unexpected INTEGER_LITERAL, expecting IDENTIFIER
-->
返回列表