首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

求8为 256采样点的正弦波表!

求8为 256采样点的正弦波表!

求一个8位256采样点的正弦波表!

打算用Verilog做一个DDS信号发生器, 但是正弦波数据不知道怎么计算, 一个一个计算太难了! 那位大侠可以提供一个8位 256采样点的正弦波!  最好能提供C语言程序!在论坛上搜到一个程序,但是编译没通过!
急用啊,现在开始学使用MATLAB来不及了!  


还有正弦波数据的后缀名是甚么?(假设正弦波的文件是sin.mif)
我用
reg [7:0] sin[255:0];      
initial  $raedmemb("sin.data" , sin)

或者
reg [7:0] sin[255:0];      
initial  $raedmemh("sin.data" , sin)

能不能把正弦波数据读到存储器sin 中?
又 outdata<=sin[num]  能不能把ROM中的数据读到端口outdata中?

偶是新手啊, 清各位大侠多关照啊!!!!!!!!


127,130,133,136,139,142,145,148,
151,154,157,160,163,166,169,172,
175,178,181,184,186,189,192,194,
197,200,202,205,207,209,212,214,
216,218,221,223,225,227,229,230,
232,234,235,237,239,240,241,243,
244,245,246,247,248,249,250,250,
251,252,252,253,253,253,253,253,
254,253,253,253,253,253,252,252,
251,250,250,249,248,247,246,245,
244,243,241,240,239,237,235,234,
232,230,229,227,225,223,221,218,
216,214,212,209,207,205,202,200,
197,194,192,189,186,184,181,178,
175,172,169,166,163,160,157,154,
151,148,145,142,139,136,133,130,
127,123,120,117,114,111,108,105,
102,99,96,93,90,87,84,81,
78,75,72,69,67,64,61,59,
56,53,51,48,46,44,41,39,
37,35,32,30,28,26,24,23,
21,19,18,16,14,13,12,10,
9,8,7,6,5,4,3,3,
2,1,1,0,0,0,0,0,
0,0,0,0,0,0,1,1,
2,3,3,4,5,6,7,8,
9,10,12,13,14,16,18,19,
21,23,24,26,28,30,32,35,
37,39,41,44,46,48,51,53,
56,59,61,64,67,69,72,75,
78,81,84,87,90,93,96,99,
102,105,108,111,114,117,120,

老大 能告诉我怎么样制作吗?

偶是新手啊, 想作一个信号发生器, 但是怎么样把数据放到芯片并重新读出来不是很会啊!

正弦波表

只需要用TC或者VC++的控制台写个很简单的C语言的程序,就可以实现正弦波表了。

返回列表