首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]用VHDL实现对数功能

[求助]用VHDL实现对数功能

如题,怎么用FPGA实现对数计算阿。求各为帮帮忙,近似的也可以。
我的email :lsy810809@hotmail.com

“怎么用FPGA实现对数计算阿”

You'll find one is the floating point algorithms:
http://www.eda.org/vhdl-200x/vhdl-200x-ft/packages/files.html
The file is:
http://www.eda.org/vhdl-200x/vhdl-200x-ft/packages/fphdl_base_alg_pkg.vhd

[此贴子已经被作者于2007-6-5 16:01:59编辑过]

这个版主不太冷 =========================== 我的中电网博客:http://blog.chinaecnet.com/u/20/index.htm

下面那个VHD文件打不开,能不能帮我看看,谢谢。

我的email :lsy810809@hotmail.com
返回列表