首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

Verilog如何比较方便的实现循环位移?

Verilog如何比较方便的实现循环位移?

常见的a<<b;语句,这是属于非循环语句。那么问题来了怎么方便的实现循环位移?
我提供一种方式:对于一个三位的数据:{a[2:0],a[3]};这样实现循环位移。
大家还有没有其他好方法?
返回列表