首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

关于VHDL组件的几个问题

关于VHDL组件的几个问题

在下有一个关于组件的小程序.但不知道为什么总是编译有错误.
在下认为可能是库里面没有这个组件.但不知道怎么对不对.
请高人指点

library IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
--************************************
ENTITY VHDl2 is
port( A: in STD_LOGIC;
B: in STD_LOGIC;
C: in STD_LOGIC;
Z: out STD_LOGIC
);
END VHDL2;
--************************************


ARCHITECTURE VHDL2_E OF VHDL2 is
SIGNAL D,E : STD_LOGIC;
component INV port(I,H: in STD_LOGIC; O: out STD_LOGIC);END component;
component AND2 port(I0,H0: in STD_LOGIC; O: out STD_LOGIC);END component;
component OR2 port(I1,H1: in STD_LOGIC; O: out STD_LOGIC);END component;
BEGIN
U1: AND2 port map (A,B,D);
U2: INV port map(B,E);
U3: or2 port map(D,E,Z);

end VHDL2_E;
继承事业,薪火相传
返回列表