首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

谁知 在vhdL中如何分配管脚?

要指定器件后才能分配管脚。
用语言实现 我想不应该指定器件 如果指定分配有误 编译一定会出错
以下例子为VHDL分配管脚的方法,仅供参考- -************************************** --* VHDL source constraint example --* Pin Assignment for PLCC/QFP Pkg. --* 2/01/2001 --* Lattice Semiconductor Corporation --************************************** --Target device = ispLSI5256VE-16LT128 --For BGA type syntax, see pinassgnBGA.syn library ieee; use ieee.std_logic_1164.all; entity pinassgqfp is port ( in5 :in std_logic; in8 :in std_logic; in10 :in std_logic; o ut std_logic_vector (13 downto 11)); --The syntax of attribute LOC --attribute LOC : string; --attribute LOC of [SigName] : signal is "P[pin#]"; attribute LOC : string; attribute LOC of in5 : signal is "P5"; attribute LOC of in8 : signal is "P8"; attribute LOC of in10 : signal is "P10"; attribute LOC of o : signal is "P13 P12 P11"; end; architecture behavioral of pinassgqfp is begin o(11) <= in5 and in8; o(12) <= in10 and in5; o(13) <= in8 and in10; end behavioral;
谢谢  我以前见过  就是不记得了 太感谢了

谁知 在vhdL中如何分配管脚?

谁知 在vhdL中如何分配管脚?[em18]
返回列表