首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

Error: Top-level design entity "shiyan" is undefined是什么错误啊?

Error: Top-level design entity "shiyan" is undefined是什么错误啊?

在quartus7.0中运行了一个vhdl程序,出现如下错误Error: Top-level design entity "shiyan" is undefined,这是什么错误啊?怎么改正啊?我是初学者,请大家帮帮忙,谢谢!
返回列表