首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

fpga控制fifo求救!

我用过这种逻辑,至于控制时序与fifo 的类型有关 就本人用过的几种fifo都没出过这种问题。把你的fifo型号给出来  我想能够给你一个合适控制机制。
我在用ti的sn74v3690同步fifo,请老大帮个忙先:e-mail:zoepompe@yahoo.com.cn        qinghongsong@163.com兄,对不住,谢谢发贴先!
这款fifo 我用的时候也出现过问题,不过后来解决了 ,因为我不需要重读数据  把/RT接高 和FWFT/SI接地
[upload=bmp]uploadImages/20031218133554.bmp[/upload]
这是用mpc860在50m下的控制  r/w是读写信号 高为写 低为读  fifo_cs是指fifo的地址  自己定义 sysclk系统时钟。下面是读时序:
[upload=bmp]uploadImages/20031218134066.bmp[/upload]

fpga控制fifo求救!

各位大虾有谁用过用fpga控制fifo?本人用fpga控制fifo工作,可就是不能从fifo中读出数据来,不知道是为什么,还请各位大虾搭救阿,能不能提供一个控制fifo的逻辑程序阿,万分感谢!
一般情况下  /ren和 /oe接在一起。
返回列表