首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

单片机与FPGA或CPLD的接口设计问题,急~~

可以到网上搜一下,关于FPGA/CPLD模拟接口方面的资料有很多,修修改改你就可以用了!
******************* 冲! ×××××××××××××

单片机与FPGA或CPLD的接口设计问题,急~~

用CPLD或FPGA实现单片机读写模块,(目标:现实单片机与CPLD或FPGA的读写逻辑模块的接口设计)
小弟本科电子信息专业
小弟总觉得这个毕业设计没有什么实质性的内容,完成这个简单的读写功能的设计应该不是很难吧,所以小弟想在这个基础上在加一些具体的功能~~听说USB接口也是以这个为核心的,但是做USB的话小弟觉得难了些,所以小弟请各位前辈们 指点一二~~
指点一:看看这个题目对于本科生来说的是难是易
指点二:如果是难,请前辈指点下,难点在哪里
       如果是易,请前辈指点下,应该加一些什么具体的功能,使这个设计的难易度   对于本科生来说适中。
~~~
我现在也遇到这个问题,实现单片机对FPGA的简单的读写操作,但现在写操作还没通过,烦请各位点拨点拨。PROCESS(ALE) ----低8位地址锁存进程 PROCESS BEGIN IF ALE'EVENT AND ALE='0'THEN --ALE的下降沿将P0口的低8位地址锁入锁存器中 LATCH_ADDRESS<=P0_DATA; END IF; END PROCESS; --×××××××××××××××××××××××××××××××××××××××××××××××× PRO_1ROCESS(P2_DATA,LATCH_ADDRESS) --WR写信号译码进程1 BEGIN IF(LATCH_ADDRESS="11111111") AND (P2_DATA="11111111")THEN WR_ENABLE<=WR; --写允许 ELSE WR_ENABLE<='1'; --写禁止 END IF; END PROCESS PRO_1; --************************************************* PRO_12ROCESS(WR_ENABLE) --数据写入寄存器 BEGIN IF(WR_ENABLE'EVENT AND WR_ENABLE='0') THEN SCAN_OUT<=P0_DATA(2 DOWNTO 0); END IF; END PROCESS PRO_12;
返回列表