首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]在QuartusII中用Verilog HDL如何给寄存器赋初值

[求助]在QuartusII中用Verilog HDL如何给寄存器赋初值

我刚学着设计FPGA,发现QuartusII里不能编译Verilog的initial语句,于是好多功能不知道如何实现了。

要怎么给寄存器赋初值呢?请指教,谢谢了。

[em09]

搜索老帖,发现原来可以加个复位信号进行赋值。

还有其他思路吗?

一般都是这个思路,个复位信号进行赋值。而且很好用。

因为FPGA配置完毕后会自动产生一个复位信号,init_done,一般在pin1.

这个版主不太冷 =========================== 我的中电网博客:http://blog.chinaecnet.com/u/20/index.htm
返回列表