首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

DCM问题求助!

DCM问题求助!

我按照教程中IBUFG+DCM+BUFG的模式,做出来分频(CLKDV)的结果是对的,但CLK0的输出一直保持高电平,不知道是怎没回事。哪位高人能帮助解答一下?谢谢
时钟反馈信号FB要接对才行,从CLK0反馈到FB。
我不是高手
返回列表