首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

Type incompatible

"Start, CLK, Reset: in BIT;
   Result: out BIT_VECTOR(7 downto 0);
   Done: out BIT);"

改为

Start, CLK, Reset: input std_logic;
   Result: output std_logic_vector(7 downto 0);
   Done: output input std_logic);

应该就可以了。

这个版主不太冷 =========================== 我的中电网博客:http://blog.chinaecnet.com/u/20/index.htm

是的,一般都是用

use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

这三个库文件的。

这个版主不太冷 =========================== 我的中电网博客:http://blog.chinaecnet.com/u/20/index.htm
返回列表