首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

求助:DDS IP核的综合问题

求助:DDS IP核的综合问题

小弟新手.

把DDS的IP核直接放在顶层文件下,和三角波部分并行同时输出三角波与正弦波,但是综合报告中给出:

WARNING:Xst:647 - Input <clk> is never used.

......

DDS核的所有脚都是never used,输出脚是never assigned. 这样应该是没有连上吧??

RTL图下可以看的到DDS的框,但是点进去后显示的是输出(sine)接地.因此在仿真的时候也只有三角波.

把DDS核单独放在一个顶层下,综合后产生的是同样的警告,但是可以布线/仿真.不知为何??

我用的是ISE8.1的XST. 望各位不吝赐教,多谢.

把DDS核加个壳再放在顶层下综合后报告中没了这个警告,但是translation report里还是:

WARNING:NgdBuild:440 - FF primitive 'dds_sine_gen/sine_map/BU329' has
unconnected output pin

....

请高手指点问题可能在何处.

好奇怪啊, 把DA搭好了在看吧

返回列表