首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

vhdl的一个小程序

你多加几个库吧!
比如
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
我是天堂的使者,向我倾诉吧
好象不是哪个的错啊
没有什么学不会的! 只是我们的生命太短暂了!!

vhdl的一个小程序

use work.bit_rtl_pkg.all; -------------------------------------- -- Adder -- -------------------------------------- entity bit_rtl_lib2 is port ( in1 :in bit_vector(0 downto 0); in2 :in bit_vector(0 downto 0); cntl :in bit; pout : out bit_vector(0 downto 0) ); end bit_rtl_lib2; architecture func of bit_rtl_lib2 is begin process(cntl) begin if (cntl = '1') then pout <= in1+in2; end if; end process; end func; 我是初学者~ 请问大侠`为什么我在仿真时的波形和程序对不上? 也就是说当cntl=‘1’时pout不是in1+in2的值~
是你定义的位相量不对,加法应该有进位
返回列表