首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

求教:怎么用VHDL语言来编一个16位的串入并出移位寄存器!急急急!

你不妨用一下这个语句,在移位时钟的作用下,当16个移位时钟之后就可以输出reg了 reg<=in1 & reg(14 downto 0)
返回列表