首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

谁有彩灯循环的VHDL程序

谁有彩灯循环的VHDL程序

是16个彩灯循环,从左到右逐亮逐灭,从右到左逐亮逐灭,全亮全灭。
如果有的话请发到aweiphysics2000@sina.com 不胜感激~~~~~[em16]
本本
我已经编出来了,谢谢
本本
返回列表