首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

我是菜鸟,帮我看看是什莫错误?

我是菜鸟,帮我看看是什莫错误?

原程序如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity ch1 is port( pin48: in std_logic; pin7: out std_logic); end ch1; architecture a of ch1 is begin pin7<=pin48; end a; 错误如下: Error:lin1:File d:\untitled2.vhd:vhdl design file "untitled2"must contain an entity of the same name 谢谢![em01]
我爱的和爱我的
你存储的文件名称与你的entity 名字不一致,需要将文件存储为ch1.vhd
我是天堂的使者,向我倾诉吧
返回列表