首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

求助!!!关于加法器和仿真?

求助!!!关于加法器和仿真?

原程序如下:调试也通过了。但是方针波形为什莫是图中的样子? -- MAX+plus II VHDL Example -- Conversion Function -- Copyright (c) 1994 Altera Corporation LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; ENTITY adder IS PORT (op1, op2 : IN UNSIGNED(7 downto 0); result : OUT INTEGER); END adder; ARCHITECTURE maxpld OF adder IS BEGIN result <= CONV_INTEGER(op1 + op2); END maxpld; 谢谢![em27]

[此贴子已经被作者于2004-5-4 18:13:07编辑过]

我爱的和爱我的
返回列表