首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

我是菜鸟,帮我看看是什莫原因出错了?

你在保存这个文件的时候,它的文件名得是ch1.vhd,就是得和你的实体名一样
爱老婆
返回列表