首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]如何用Verilog语言进行图像处理?

[求助]如何用Verilog语言进行图像处理?

如何用Verilog语言进行图像处理?请各位高手帮帮忙!

使用verilog语言是一种硬件描述语言,他的作用是生成数字处理电路。

数字处理电路完成的功能要和楼主的图像处理功能有关。比如压缩,解码,等等,这个要根据实际情况设计程序。

这个版主不太冷 =========================== 我的中电网博客:http://blog.chinaecnet.com/u/20/index.htm
返回列表