首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

基于FPGA 的A D DA 转换的接口电路怎么设计?

基于FPGA 的A D DA 转换的接口电路怎么设计?

基于FPGA 的A D DA 转换的接口电路怎么设计?

这个需要楼主阅读ad或da的的datasheet对时序操作是怎么设计的。

一般ad需要一个保持,采样,转换的步骤

一般da需要输入数字信号,转换,和模拟信号的处理。

这个版主不太冷 =========================== 我的中电网博客:http://blog.chinaecnet.com/u/20/index.htm

给点 亚

这个的重点在ad,da,而不在fpga,“基于”这两个字不太合适
美梦成真-->噩梦降临!
返回列表