首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

各位大侠!帮我看看锁存器程序.

各位大侠!帮我看看锁存器程序.

各位大侠!帮我看看锁存器程序. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity latch is port (d:in std_logic_vector(7 downto 0); qut std_logic_vector(7 downto 0); clk,oe: in std_logic ); end latch; architecture a of latch is signal qint: std_logic_vector(7 downto 0); begin process(clk,d) begin if clk'event and clk='1'then qint<=d; end if; end process; if oe='0'then q<=qint; --when (oe='0') else q<='zzzzzzzz'; end a; 提示:error:line21: vhdl syntax error: character literal "z" must be terminated with an apostrophe 谢谢!
我爱的和爱我的
返回列表