首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

谁能帮我看下这个错误这么解决!谢谢

请先排除自己的语法错误。
我新飞翔
显然宽度不搭配
铁血丹心,精忠报国

谁能帮我看下这个错误这么解决!谢谢

error:unknow problem in e:\工具 \ch9_2_3.vhd[%synprep-F-Internal rror,Internal error: "Width mismat in relational operator"in eBuildBinaryOp at line 1342 of  file readexpr.c.]
把工具改成英文
返回列表