首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

请教一个交通灯VHDL程序的问题。

一个process块内部语句是顺序执行,但对外整个可以看成是一条并发语句,process块与process块以及其它concurrent语句之间是并发关系。如dsp_zfg所说,signal不可在几个并发语句里同时被赋值,也是为什么错误提示说你的信号有多个源。想办法把对f和flag的赋值放到一个process里问题就解决了。
sorry,光看最后跟贴时间,忘看发贴时间了。不好意思。
返回列表