首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

请教,怎么用Verilog判断时钟上升延?

请教,怎么用Verilog判断时钟上升延?

谢谢

判断时钟的上升沿只有用频率更高的时钟去打,然后再在更高的时钟域里面判断。

如:

clk,clk_fast

always@(posedeg clk_fast)

begin

clkq=<clk;

clkqq<=clkq;

end

assign clkposedge=clkq & (~clkqq);

这个版主不太冷 =========================== 我的中电网博客:http://blog.chinaecnet.com/u/20/index.htm

谢谢!

posedeg clk  为真即为上升沿.
真诚让沟通更简单! QQ:767914192
always @ (posedge)
发个贴子,顶顶
返回列表