首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

请问谁有关于8位串并转换的VHDL程序啊? 谢谢!!!

请问谁有关于8位串并转换的VHDL程序啊? 谢谢!!!

8位串行输入转换为并行输出 哪位能帮帮忙啊?? 万分感谢!!!

我也想要这个呀!~

[em02][em02]

一个8位的并行数据Pdata,一个模8的计数器i,一个一位输入din,一个控制时钟clk,一个复位rst就ok了。一个时钟上升沿计数器加1,并行数据为Pdata(i)<=din.关键在你外部送的串行数据的传输率。如果和FPGA是同步的。那没问题。如果有速度差,加个fifo或者ram。

返回列表