首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]请教各位一个DSP驱动MAX7219的问题

[求助]请教各位一个DSP驱动MAX7219的问题

大家好,我在做一个用TMSLF2407A驱动MAX7219的数码管显示,但是在DSP给MAX7219发数的过程中(初始化MAX7219),SPITXBUF是一直在按照程序变化而SPIDAT却在变化一次之后不再变化,而且数码管的初始化也没有完成,请问各位能给解释一下原因吗? 万分感谢![em49]
返回列表