首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

xilinx硬件设计高手请进

xilinx硬件设计高手请进

大家都知道FPGA分为很多BANK,我知道如果从BANK0中取4位IO脚,与从BANK1中取出的4位IO脚组成一个8位的输出,可以直接接一个8位的外设(比如8位的AD)吗?希望高手指点一下[em03]
当然可以啦!

谢谢高手指点,刚开始搞FPGA,有很多迷惑,3q

4# qq6501215



我也比较疑惑这个问题,
就是跨BANK可不可以组成我们需要的字节
如果BANK的VCCO还不一样的话,是否可以???
返回列表