首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

问题:veriolg怎样从文本文件读取数据

问题:veriolg怎样从文本文件读取数据

看了版主的帖子收益多多,设计终于开始做下去了

下一个问题请教大家,veriolg怎样从文本文件读取数据

我要从文件里读数据到tcam里面。只有利用readmemb() 或者readmemh() 吗?

返回列表