首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

这是一篇很好的文章,学verilog的可以好好看看

看来楼主都是高手级别的了,刚开始搞,还没那么深入,对楼主描述的东西还没有概念,唯一的感觉就是FPGA这个东西有很多东西要学
返回列表