首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]两个变量如何实现有符号想乘和相加?谢谢!

[求助]两个变量如何实现有符号想乘和相加?谢谢!

大家好!最近要用FPGA实现一个算法,由于算法存在多级,而“信号”传递和赋值会有延时,所以想用“变量”来实现。信号我可以调用Q2的乘法模块和加法来实现有符号二进制数的运算,但是作为“变量”只能存在于进程中,调用模块肯定要用到信号而产生延时。

“*”号又是无符号的乘法,不知道变量是如何实现“有符号”运算呢?如果知道,麻烦你留言哈!谢谢!!!

我是用VHDL语言来编的。大家帮助 帮助一下啊![em66]

我真的想不出来啊!谢谢.......

大家帮忙顶一顶啊!在线等待。。

这个问题还是看一下书吧 很简单的

睡觉睡到自然醒,数钱数到手抽筋!
  ▲╭╩═╮╔════╗╔════╗╔════╗╔════╗╔════╗
    ╭╯G O ╠╣支持楼主╠╣正真牛人 ╠╣雁过留声╠╣人过留帖╠╣拿分走人╣
    ╰⊙═⊙╯╚◎══◎╝╚◎══◎╝╚◎══◎╝╚◎══◎╝╚◎══◎╝
"rest",求求你详细的说一下啊!

运算的时候 先看符号位 你看看单片机的书都有的

睡觉睡到自然醒,数钱数到手抽筋!
  ▲╭╩═╮╔════╗╔════╗╔════╗╔════╗╔════╗
    ╭╯G O ╠╣支持楼主╠╣正真牛人 ╠╣雁过留声╠╣人过留帖╠╣拿分走人╣
    ╰⊙═⊙╯╚◎══◎╝╚◎══◎╝╚◎══◎╝╚◎══◎╝╚◎══◎╝
返回列表