首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[转帖]FPGA开发实用教程(l连载)

[转帖]FPGA开发实用教程(l连载)

FPGA开发实用教程

去年10月份,作者有幸聆听了Xilinx公司全球CTO Ivo Bolsens先生在清华大学题为“FPGA: The future platform for transforming, transporting and computing”的演讲,感触颇深。IVO先生指出了FPGA的三大应用领域:数字处理中的信号变换、高速交换中的数据收发以及求解中的复杂计算。作者本人虽然已有多年的FPGA开发经验,但还是第一次听到如此精辟的总结,随即想到深入了解并推广这种实用且精辟的FPGA开发理念。考察了许久发现市场上没有此类相关书籍,且已有书籍比较偏重于单一软件的操作和HDL语言的讲解,因此就萌生了编写一本书从系统开发角度,以软、硬件结合的方式来阐述先进的FPGA开发理念,于是经过半年的思索、查阅资料、和相关专家的大量讨论以及反复修改,便有了这本书的诞生,以期达到抛砖引玉的作用。

Xilinx
公司是最早也是最大的FPGA生产商,其芯片设计技术、开发软件和相关解决方案在业界属于顶级水平,拥有广泛的客户群。本书主要讲述了Xilinx FPGA的开发知识,包括FPGA基础知识、VerilogHDL语言基础、基于Xilinx芯片的HDL语言高级进阶、ISE开发环境使用指南、FPGA配置电路及软件操作、在线逻辑分析仪ChipScope的使用、基于FPGA的数字信号处理技术、基于System GeneratorDSP系统开发技术、基于FPGA的可编程嵌入式开发技术、基于FPGA的高速数据连接技术以及时序分析原理和时序分析器的使用等11章内容,涵盖了FPGA开发的主要方面。期望本书能够提高读者的工程开发能力。全书各章由田耘、徐文波完成,孙霏菲参与了第7章的编写工作。作者在成书过程中,参考了较多的书籍、论文和网络文献,向其作者表示深深谢意。

本书适合从事FPGA设计和开发领域的工程师,以及相关专业的研究生和高年级本科生使用。

FPGA
技术博大精深且发展迅猛,不可能通过一本书进行全方位的详细介绍,更多还需要读者自己动手实践。由于作者水平有限,加上时间比较仓促,书中不妥之处,敬请指正。

1 FPGA开发简介

本章主要介绍FPGA的起源、发展历史、芯片结构、工作原理、开发流程以及Xilinx公司的主要可编程芯片,为读者提供FPGA系统设计的基础知识。

1 可编程逻辑器件基础

1.1.1 可编程逻辑器件概述

可编程逻辑器件(Programmable Logic DevicePLD)起源于20世纪70年代,是在专用集成电路(ASIC)的基础上发展起来的一种新型逻辑器件,是当今数字系统设计的主要硬件平台,其主要特点就是完全由用户通过软件进行配置和编程,从而完成某种特定的功能,且可以反复擦写。在修改和升级PLD时,不需额外地改变PCB电路板,只是在计算机上修改和更新程序,使硬件设计工作成为软件开发工作,缩短了系统设计的周期,提高了实现的灵活性并降低了成本,因此获得了广大硬件工程师的青睐,形成了巨大的PLD产业规模。

目前常见的PLD产品有:编程只读存储器(Programmable Read Only MemoryPROM),现场可编程逻辑阵列(Field Programmable Logic ArrayFPLA),可编程阵列逻辑(Programmable Array LogicPAL),通用阵列逻辑(Generic Array LogicGAL),可擦除的可编程逻辑器件(Erasable Programmable Logic ArrayEPLA),复杂可编程逻辑器件(Complex Programmable Logic DeviceCPLD)和现场可编程门阵列(Field Programmable Gate ArrayFPGA)等类型。PLD器件从规模上又可以细分为简单PLDSPLD)、复杂PLDCPLD)以及FPGA。它们内部结构的实现方法各不相同。

可编程逻辑器件按照颗粒度可以分为3类:小颗粒度(如:门海(sea of gates架构),中等颗粒度(如:FPGA),大颗粒度(如:CPLD)。按照编程工艺可以分为四类:熔丝(Fuse)和反熔丝(Antifuse)编程器件,可擦除的可编程只读存储器(UEPROM)编程器件,电信号可擦除的可编程只读存储器(EEPROM)编程器件(如:CPLD),SRAM编程器件(如:FPGA)。在工艺分类中,前3类为非易失性器件,编程后,配置数据保留在器件上;第4类为易失性器件,掉电后配置数据会丢失,因此在每次上电后需要重新进行数据配置。

1.1.2 可编程逻辑器件的发展历史

可编程逻辑器件的发展可以划分为4个阶段,即从20世纪70年代初到70年代中为第1阶段,20世纪70年代中到80年代中为第2阶段,20世纪80年代到90年代末为第3阶段,20世纪90年代末到目前为第4阶段。

1阶段的可编程器件只有简单的可编程只读存储器(PROM)、紫外线可擦除只读存储器(EPROM)和电可擦只读存储器(EEPROM3种,由于结构的限制,它们只能完成简单的数字逻辑功能。

2阶段出现了结构上稍微复杂的可编程阵列逻辑(PAL)和通用阵列逻辑(GAL)器件,正式被称为PLD,能够完成各种逻辑运算功能。典型的PLD阵列组成,用与或表达式来实现任意组合逻辑,所以PLD能以乘积和形式完成大量的逻辑组合。

3阶段XilinxAltera分别推出了与标准门阵列类似的FPGA和类似于PAL结构的扩展性CPLD,提高了逻辑运算的速度,具有体系结构和逻辑单元灵活、集成度高以及适用范围宽等特点,兼容了PLD和通用门阵列的优点,能够实现超大规模的电路,编程方式也很灵活,成为产品原型设计和中小规模(一般小于10000)产品生产的首选。这一阶段,CPLDFPGA器件在制造工艺和产品性能都获得长足的发展,达到了0.18 工艺和系数门数百万门的规模。

4阶段出现了SOPCSOC技术,是PLDASIC技术融合的结果,涵盖了实时化数字信号处理技术、高速数据收发器、复杂计算以及嵌入式系统设计技术的全部内容。XilinxAltera也推出了相应SOCFPGA产品,制造工艺达到65 ,系统门数也超过百万门。并且,这一阶段的逻辑器件内嵌了硬核高速乘法器、Gbits差分串行接口、时钟频率高达500MHzPowerPC微处理器、软核MicroBlazePicoblazeNios以及NiosII,不仅实现了软件需求和硬件设计的完美结合,还实现了高速与灵活性的完美结合,使其已超越了ASIC器件的性能和规模,也超越了传统意义上FPGA的概念,使PLD的应用范围从单片扩展到系统级。目前,基于PLD片上可编程的概念仍在进一步向前发展。

1.1.3 PLD开发工具

基于高复杂度PLD器件的开发,在很大程度上要依靠电子设计自动化(EDA)来完成。PLDEDA工具以计算机软件为主,将典型的单元电路封装起来形成固定模块并形成标准的硬件开发语言(如HDL语言)供设计人员使用。设计人员考虑如何将可组装的软件库和软件包搭建出满足需求的功能模块甚至完整的系统。PLD开发软件需要自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。典型的EDA工具中必须包含两个特殊的软件包,即综合器和适配器。综合器的功能就是将设计者在EDA平台上完成的针对某个系统项目的HDL、原理图或状态图形描述,针对给定的硬件系统组件,进行编译、优化、转换和综合。

随着开发规模的级数性增长,就必须减短PLD开发软件的编译时间、并提高其编译性能以及提供丰富的知识产权(IP)核资源供设计人员调用。此外,PLD开发界面的友好性以及操作的复杂程度也是评价其性能的重要因素。目前在PLD产业领域中,各个芯片提供商的PLD开发工具已成为影响其成败的核心成分。只有全面做到芯片技术领先、文档完整和PLD开发软件优秀,芯片提供商才能获得客户的认可。一个完美的PLD开发软件应当具备下面5点:

. 准确地将用户设计转换为电路模块

. 能够高效地利用器件资源

. 能够快速地完成编译和综合

. 提供丰富的IP资源

. 用户界面友好、操作简单
Xilinx
公司的ISEAltera公司的Quartus IIMaxplus II是业界公认的优秀集成PLD开发软件。此外综合软件Synplify和仿真软件ModelSim等诸多第三方EDK开发软件也满足上述要求。

1.2.1 FPGA工作原理与简介

如前所述,FPGA是在PALGALEPLDCPLD等可编程器件的基础上进一步发展的产物。它是作为ASIC领域中的一种半定制电路而出现的,即解决了定制电路的不足,又克服了原有可编程器件门电路有限的缺点。

由于FPGA需要被反复烧写,它实现组合逻辑的基本结构不可能像ASIC那样通过固定的与非门来完成,而只能采用一种易于反复配置的结构。查找表可以很好地满足这一要求,目前主流FPGA都采用了基于SRAM工艺的查找表结构,也有一些军品和宇航级FPGA采用Flash或者熔丝与反熔丝工艺的查找表结构。通过烧写文件改变查找表内容的方法来实现对FPGA的重复配置。

根据数字电路的基本知识可以知道,对于一个n输入的逻辑运算,不管是与或非运算还是异或运算等等,最多只可能存在2n种结果。所以如果事先将相应的结果存放于一个存贮单元,就相当于实现了与非门电路的功能。FPGA的原理也是如此,它通过烧写文件去配置查找表的内容,从而在相同的电路情况下实现了不同的逻辑功能。

查找表(Look-Up-Table)简称为LUTLUT本质上就是一个RAM。目前FPGA中多使用4输入的LUT,所以每一个LUT可以看成一个有4位地址线的 RAM 当用户通过原理图或HDL语言描述了一个逻辑电路以后,PLD/FPGA开发软件会自动计算逻辑电路的所有可能结果,并把真值表(即结果)事先写入RAM,这样,每输入一个信号进行逻辑运算就等于输入一个地址进行查表,找出地址对应的内容,然后输出即可。

现在我把《FPGA开发实用教程》这本书的链接发上来 供大家下载

[Post=3]

http://download.eccn.com/down.aspx?id=1833

[/Post]

[此贴子已经被作者于2009-4-30 13:57:31编辑过]

!!!!

好东西! 支持一下!

想下载一下。

咽贴算么

什么叫贴数为三?回贴算么[em07]

再发一次

继续顶

继续顶

顶!

3
3
返回列表