首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]建立测试文件时出错

[求助]建立测试文件时出错

为verilog文件建立verilog test fixture时显示如下,怀疑是模板没有套用上,直接显示的是模板文件,请问怎么办啊,谢谢。

`timescale 1 ns / 1 ps

module TEST_gate;
reg <signal1>;
reg [2:0] <signal2>;
wire [3:0] <signal3>;
wire <signal4>;

<module_name> <instance_name> (
<port1>,
<port2>
);

integer <name1>;
integer <name2>;

// The following code initializes the Global Set Reset (GSR) and Global Three-State (GTS) nets
// Refer to the Synthesis and Simulation Design Guide for more information on this process
reg GSR;
assign glbl.GSR = GSR;
reg GTS;
assign glbl.GTS = GTS;

initial begin
GSR = 1;
GTS = 0; // GTS is not activated by default
#100; // GSR is set for 100 ns
GSR = 0;
end

// Initialize Inputs
`ifdef auto_init

initial begin
end

`endif
endmodule

在网上的所谓爱国主义者,网特占三成,粪青占三成.
返回列表