首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

新手quartus2 出错!求解

新手quartus2 出错!求解

错误为:top-level design entity “behavioral” is undefined

程序为:library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity top is
Port ( clk4Hz,clk28khz :in std_logic; --系统时钟
handTOauto : in std_logic; --键盘输入/自动演奏
code1 ut std_logic_vector(6 downto 0); --音符显示信号
index1 :in std_logic_vector(7 downto 0); --键盘输入信号
high1 ut std_logic; --高低音节信号
spkout ut std_logic); --音频信号
end top;
architecture Behavioral of top is
component automusic
Port ( clk :in std_logic;
Auto: in std_logic;
index2:in std_logic_vector(7 downto 0);
index0 : out std_logic_vector(7 downto 0));
end component;
component tone
Port ( index : in std_logic_vector(7 downto 0);
code : out std_logic_vector(6 downto 0);
high : out std_logic;
tone0 : out integer range 0 to 120);
end component;
component speaker
Port ( clk1 : in std_logic;
tone1 : in integer range 0 to 120;
spks : out std_logic);
end component;
signal tone2: integer range 0 to 120;
signal indx:std_logic_vector(7 downto 0);
begin
u0:automusic port
map(clk=>clk4HZ,index2=>index1,index0=>indx,Auto=>handtoAuto);
u1: tone port map(index=>indx,tone0=>tone2,code=>code1,high=>high1);
u2: speaker port map(clk1=>clk28kHZ,tone1=>tone2,spks=>spkout);
end Behavioral;

在线等 谢谢罗!

返回列表