首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]请教大家,用VHDL怎么实现单稳态触发器的功能啊?谢谢大家了

[求助]请教大家,用VHDL怎么实现单稳态触发器的功能啊?谢谢大家了

我现在想在输入方波的上升沿,产生一定脉宽的负脉冲

程序师这样编写的

结果试验的时候,结果不对

困扰好长时间了

希望得到大家的帮助

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;

entity d2 is
port( clk : in std_logic;
rst : in std_logic;
en : in std_logic;
qout: out std_logic);
end d2;

architecture behav of d2 is
signal cnt : std_logic_vector(8 downto 0);
signal cnt_EN : std_logic;
signal m: std_logic;
begin
process(rst,clk,en)
begin
if(rst='0')then
cnt_EN<='1';
cnt<="000000000";
elsif(clk'event and clk='1')then
m<=en;
if(m/=en and en='1') then
-- if(en='1')then
cnt_EN<='0';
cnt<="000000000";
elsif(cnt_EN='0' and cnt<400)then
cnt_EN<='0';
cnt<=cnt+1;
else
cnt_EN<='1';
-- end if;
end if;
end if;
end process;
qout<=cnt_EN;
end behav;

返回列表