首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]毕业设计:用VHDL设计PS/2键盘接口,对应于数字0--9显示在数码管上

[求助]毕业设计:用VHDL设计PS/2键盘接口,对应于数字0--9显示在数码管上

设计要求:
设计一PS/2键盘接口具有以下功能:该接口设计接受来自PS/2接口的键盘产生的扫描码,经过处理后显示在数码管上。当对应于键0—9字(符键上方的数字键)之一的扫描码到来时,相应的数字显示在数码管上。

我就是对于数码显示的部分不是很了解,前面的键盘扫描还可以,不知道怎么将两者结合到一起,请大家指教,不胜感激!
你用的是EDA-5型的实验箱吗?

你好!我也是在做一个课程设计,是用VHDL编程使键盘和EDA实验箱相连,当点击键盘上一个键时,扫描键盘那个键的ASCII值,并显示在数码管上。您能介绍怎样扫描键盘,如果能可以的话能提供一些VHDL的程序吗?

返回列表