首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展

FPGA/CPLD可编程逻辑

[ 26527 主题 / 25683 回复 ]

版块介绍: 讨论关于FPGA和CPLD的相关话题!

版主: boyfly, stone133, flanix, bydxdtcdj, 电子狂热, xcx_hust, benbenfei, AndyLee008

FPGA/CPLD可编程逻辑

    标题 作者 回复/查看 最后发表
common   14.使用Nios II处理器 附件 苹果也疯狂 2013-8-18 0/254 苹果也疯狂 2013-8-18 14:53
common   Xlinx ISE 9.X FPGA_CPLD设计指南(上).pdf 附件 bingchentiao 2013-11-30 0/254 bingchentiao 2013-11-30 15:51
common   Xlinx ISE 9.X FPGA_CPLD设计指南(上).pdf 附件 bingchentiao 2013-11-30 0/254 bingchentiao 2013-11-30 16:00
common   Xilinx FPGA嵌入式开发(五)— XPS中的Uart(Lite)模块 pengpengpang 2014-2-22 0/254 pengpengpang 2014-2-22 09:39
common   Xilinx运用FPGA进行控制及数据平面视频处理方案 苹果也疯狂 2014-2-23 0/254 苹果也疯狂 2014-2-23 18:30
common   FPGA时序分析器Timing Analyzer 冰封 2014-8-25 0/254 冰封 2014-8-25 14:50
common   FFT的fpga实现_1 冰封 2014-8-31 0/254 冰封 2014-8-31 14:27
common   FPGA的基本结构 冰封 2014-9-19 0/254 冰封 2014-9-19 14:01
common   ISE14.2的ISim一个bug把我弄惨了。 pengpengpang 2014-9-19 0/254 pengpengpang 2014-9-19 21:24
common   基于BUFGMUX与DCM的FPGA时钟电路设计 pengpengpang 2015-1-19 0/254 pengpengpang 2015-1-19 16:02
common   FPGA 上的验证 - 一种基于USB2.0的视频图像处理芯片设计(2) yuyang911220 2015-1-22 0/254 yuyang911220 2015-1-22 13:21
common   如何使用STATECAD进行多状态机设计实例分析-2 苹果也疯狂 2015-2-26 0/254 苹果也疯狂 2015-2-26 20:26
common   专为高容量机器设计的全新CompactRIO集成系统 pengpengpang 2015-2-26 0/254 pengpengpang 2015-2-26 22:04
common   Verilog HDL设计练习进阶(六)--掌握函数在模块设计中的使用 pengpengpang 2015-3-26 0/254 pengpengpang 2015-3-26 08:45
common   FPGA时序约束的6种方法 Bazinga 2015-4-13 0/254 Bazinga 2015-4-13 21:39
common   有关时序约束的意义 冰封 2016-7-5 0/254 冰封 2016-7-5 17:14
common   从电路到Verilog | 数字电路设计:有理论、有电路、有代码“三位一体”(4) yshc 2016-9-20 0/254 yshc 2016-9-20 22:01
common   CT图像重建算法的FPGA实现(2) yshc 2016-9-21 0/254 yshc 2016-9-21 22:15
common   Verilog 冰封 2016-11-22 0/254 冰封 2016-11-22 22:00
common   模块的例化问题 yuchengze 2016-11-24 0/254 yuchengze 2016-11-24 20:41
common   自己编写的模块,EOC是输入引脚,但读不出来 yuchengze 2016-11-24 0/254 yuchengze 2016-11-24 22:42
common   Quartus II里unused pins怎么设置 冰封 2017-1-9 0/254 冰封 2017-1-9 20:05
common   请教各位高手,帮忙看一下这块FPGA封装表下面的-1和M代表什么意思 冰封 2017-1-9 0/254 冰封 2017-1-9 20:08
common   基于FPGA的错误检测与自动纠正的设计实现之二 冰封 2017-3-22 0/254 冰封 2017-3-22 22:16
common   FPGA最小系统之:实例1 在Altera的FPGA开发板上运行第一个FPGA程序之二 冰封 2017-3-25 0/254 冰封 2017-3-25 22:02
common   基于IP集成的RS码+DQPSK系统设计 冰封 2017-6-22 0/254 冰封 2017-6-22 20:10
common   PLC在PET注胚系统中的应用(2) yshc 2017-6-23 0/254 yshc 2017-6-23 13:07
common   CPU vs FPGA?图像处理谁更“应景”? best1538 2018-8-19 0/254 best1538 2018-8-19 15:23
common   Adam Taylor玩转MicroZed连载21:详解Zynq 的PS/PL(第一部分) best1538 2018-9-13 0/254 best1538 2018-9-13 16:29
common   可编程逻辑基础 附件 苹果也疯狂 2013-6-7 0/253 苹果也疯狂 2013-6-7 21:17
common   可编程逻辑基础 附件 苹果也疯狂 2013-6-8 0/253 苹果也疯狂 2013-6-8 16:58
common   4.使用Quartus II软件:简介 附件 苹果也疯狂 2013-6-17 0/253 苹果也疯狂 2013-6-17 10:59
common   5.使用Quartus II软件:基础 附件 苹果也疯狂 2013-6-21 0/253 苹果也疯狂 2013-6-21 09:02
common   请教 pizibaidu 2014-2-20 0/253 pizibaidu 2014-2-20 10:25
common   分析FPGA的基本结构 porereading 2014-4-23 0/253 porereading 2014-4-23 21:29
common   FPGA的UART深析 冰封 2014-6-7 0/253 冰封 2014-6-7 23:24
common   用FPGA器件实现UART核心功能的一种方法 pengpengpang 2014-7-5 0/253 pengpengpang 2014-7-5 20:34
common   ASIC至FPGA原型的自动转换 pengpengpang 2014-7-5 0/253 pengpengpang 2014-7-5 20:42
common   FPGA_ASIC-一种GPS信号干扰源的设计与实现 附件 冰封 2014-7-23 0/253 冰封 2014-7-23 21:06
common   MicroZed测评6- 下载程序与测试 pengpengpang 2014-8-28 0/253 pengpengpang 2014-8-28 16:21
    类型 排序方式 时间范围