首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

请高手指点,GUARDED语句在ise中怎么用啊

请高手指点,GUARDED语句在ise中怎么用啊


begin
  coma:block(clk='1')
begin
    g<=guarded d after 5ns;
    gb<=guarded not(d) after 10ns;
end block coma;
end Behavioral;

 

这样用可以嘛?

 

lianzshu@swu.cn

高手指点了

guarded

--------------------------------------------------------------------------------

VHDL Reserved Word: guarded

Purpose
Option for a concurrent signal assignment. The guarded option specifies that the signal assignment statement will execute only when the guard condition of the block statement that contains the assignment is true.

Syntax
concurrent_signal_assignment_statement ::=
[label:] [guarded] conditional_signal_assignment
| selected_signal_assignment

Example
b1: block (example = '1')
begin
z <= guarded not a;
end block b1;
不知道搂主能看懂不
after x ns是不可以综合的,只能用于仿真
美梦成真-->噩梦降临!
谢谢斑竹
不过当我去掉后面的after 5 ns 时,仍不能编译过去。
不知道这是怎么回事。
我用的ise+modelsim
你把错误提示发上来
美梦成真-->噩梦降临!
ERROR:HDLParsers:1024 - "e:/xilinx/project2/dff/dff.vhd" Line 40. Guarded unsupported in signal assignment.
ERROR:HDLParsers:1024 - "e:/xilinx/project2/dff/dff.vhd" Line 41. Guarded unsupported in signal assignment.
这种表达式叫做卫式表达式,这种通过卫式表达式来对块中的驱动器进行使能的快语句称为卫式快语句,就是你所用的;
需要注意的是:卫式块语句不能被综合!
美梦成真-->噩梦降临!
返回列表