我现在正在做一个非常简单的程序,一个分频的程序,程序如下:
entity vdiv2 is port(clk,clr:in std_logic; q2ut std_logic); end vdiv2;
architecture vdiv2_arch of vdiv2 is signal q:std_logic; begin process(clk,clr) begin if(clr='1')then q<='0'; elsif rising_edge(clk) then q<=not(q);-- end if; end process; q2<=q; end vdiv2_arch; 我想用示波器来演示一下。程序中的使能信号clr,在开始时需要给它一个'1'值,之后全为0,现在的问题是我不知道怎么赋值,请各位指点,谢谢 |