首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

[求助]毕业设计(基于FPGA的高频时钟的分频和分配设计)

[求助]毕业设计(基于FPGA的高频时钟的分频和分配设计)

哪位达人回做这个题目,小弟跪求 希望各位达人帮忙


 

能不能说的更细点
我完全不知道该怎么下笔 只找到部分程序 不知道哪位达人能帮我补充完 小弟在这里谢谢了
http://bbs.chinaecnet.com/uploadImages/用FPGA实现高频时钟的分频和多路输出.rar
这是部分程序
返回列表