首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展

标签: 分频

  版块 作者 回复/查看 最后发表
S3C44B0的UART波特率计算公式---分频设置 ARM louzhu 2009-4-27 0 / 2550 louzhu 2009-4-27 15:49
模拟时钟分频的问题 EDA kllyj2008 2009-1-16 2 / 3099 xymbtc 2009-3-27 00:43
版主您好.有个定时器预分频系数的问题想请教一下,谢谢 lijun2631 2009-3-3 2 / 1605 applespine 2009-3-11 18:48
[求助]DG128的OSCCLK是指的晶振频率还是晶振的分频 commandoccz 2008-3-29 3 / 1350 fjczd 2009-2-5 17:17
FPGA中,分频和计数有什么联系啊??? FPGA/CPLD可编程逻辑 DirectCG 2008-11-19 0 / 1114 DirectCG 2008-11-19 11:07
基于FPGA的高频时钟的分频和分配设计 pengyoubieku 2008-8-31 5 / 1281 taotaopig 2008-9-10 20:18
基于FPGA的多种形式分频的设计与实现 pengyoubieku 2008-8-31 3 / 1071 pengyoubieku 2008-8-31 13:23
[求助]请教分频问题 FPGA/CPLD可编程逻辑 osxiong 2008-3-28 1 / 868 stone133 2008-3-28 15:37
关于A/D时钟频率的预分频问题 vincent85 2008-3-10 13 / 2660 mooyeep 2008-3-12 19:08
FPGA高频时钟分频与分配设计 FPGA/CPLD可编程逻辑 caopengly 2008-1-14 1 / 978 caopengly 2008-1-14 20:55
ADI推出小数N分频PLL频率合成器ADF4157 juliguo 2007-11-30 0 / 1116 juliguo 2007-11-30 16:08
ADI推出小数N分频PLL频率合成器ADF4157 juliguo 2007-11-30 0 / 1109 juliguo 2007-11-30 16:03
verilog 分频 panyehui 2007-11-17 2 / 1055 kzw 2007-11-19 17:04
[Verilog]分频HDL的实现方法介绍(引用) pengyoubieku 2007-10-27 0 / 1418 pengyoubieku 2007-10-27 21:23
分频 leaderwgf 2007-10-15 1 / 1004 kzw 2007-10-16 15:45
请教 Verilog 任意整数分频的问题! FPGA/CPLD可编程逻辑 x1200 2007-5-22 2 / 1496 bingling512 2007-8-18 16:16
[讨论]锁相环的分频和自己设计的分频有什么不同 FPGA/CPLD可编程逻辑 看不到雪 2007-4-26 2 / 1054 cityfish 2007-4-28 19:01
一个分频问题 FPGA/CPLD可编程逻辑 hylingzhi 2006-9-7 8 / 1210 blueprince 2006-9-8 12:36
[求助]新手求助!怎么把输入时钟分频使用? FPGA/CPLD可编程逻辑 jasonw_asix 2006-8-17 1 / 932 anotherchen 2006-8-17 15:38
如何对分频后的时钟施加约束?(不用DCM) braveboys 2006-6-15 3 / 1631 braveboys 2006-6-16 14:13
[求助]毕业设计(基于FPGA的高频时钟的分频和分配设计) FPGA/CPLD可编程逻辑 pirlo83 2006-5-13 3 / 1148 pirlo83 2006-5-14 20:04
分频问题 yibuyiqu 2006-4-16 3 / 915 UseNios 2006-4-20 20:48
请问一下高手,如何实现任意分数的分频电路阿? wangiii 2006-3-13 7 / 1646 stone133 2006-3-30 11:35
在fpga中如何实现分频 FPGA/CPLD可编程逻辑 cug_zhang 2005-5-24 6 / 1679 coollight 2005-11-30 18:32
Xilinx Coolrunner-II 系列CPLD 如何使用时钟分频? wolf303 2005-11-16 1 / 1299 bob007 2005-11-16 17:48
我写的可控分频,总是有错,请各位指教 FPGA/CPLD可编程逻辑 jansonwang 2005-7-14 2 / 1087 violet701 2005-10-31 23:08
[求助没有外部晶振,可不可以改变内部振荡器的分频值? libingqiang 2005-7-7 4 / 751 libingqiang 2005-7-7 22:16
[求助没有外部晶振,可不可以改变内部振荡器的分频值? libingqiang 2005-7-7 4 / 880 libingqiang 2005-7-7 22:16
任意整数分频 FPGA/CPLD可编程逻辑 boyfly 2004-11-9 14 / 2450 木子清风 2005-4-23 15:59
求助,哪位高手有关于整数分频和小数分[频的源程序(ahdl或vhdl) FPGA/CPLD可编程逻辑 qiangwei 2004-9-15 2 / 2313 qiangwei 2004-9-15 09:56