首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

小弟求助verilog问题

小弟求助verilog问题

程序如下:


module  ck(reset,clk,scl);
input reset;
input clk;
output scl;
reg scl;
always @(posedge clk)
   if(reset)  scl<=1;
   else      
   scl<=~scl;
  endmodule  
该程序在maxplus上运行结果正确,但在modelsim仿真时为什么输出为不定态,小弟想不明白,请高手指点!

没有初始化。
更改为module ck(reset,clk,scl);
input reset;
input clk;
output scl;
reg scl=1;/*------------------*/
always (posedge clk)
if(reset) scl<=1;
else
scl<=~scl;
endmodule
即可
提供FPGA设计与咨询(收费),如和器件相关则仅限于altera公司产品。本人有altera公司的多个IP core,欢迎联系:QQ75265208!
modelsim仿真时一样需要初始化,如果你对reset进行了初始化,肯定不会有不定值出现
美梦成真-->噩梦降临!
返回列表