首页 | 新闻 | 新品 | 文库 | 方案 | 视频 | 下载 | 商城 | 开发板 | 数据中心 | 座谈新版 | 培训 | 工具 | 博客 | 论坛 | 百科 | GEC | 活动 | 主题月 | 电子展
返回列表 回复 发帖

Xilinx Coolrunner-II 系列CPLD 如何使用时钟分频?

Xilinx Coolrunner-II 系列CPLD 如何使用时钟分频?

Xilinx Coolrunner-II 系列CPLD 如何使用时钟分频?在其datasheet上可以看到该系列CPLD 有时钟管理分频功能,但其内部结构里没有像fpga中的dll模块,不知如何使用,请高手指教.请问该系列CPLD是否适合用于有大量数据预算的设计中?
Xilinx Coolrunner-II 系列CPLD我还没用过,用过的分享一下吧!!
返回列表